Tuesday 1 July 2014

VHDL to VIRILOG and VERILOG to VHDL

Hello everyone,
Here is a tool which can be used to convert verilog to vhdl and vice-versa. I had tried a lot searching for a free tool online  and finally found this one which is cool to use and easy to operate. You can click on the link below and use it.

I have tried converting few simple VHDL programs to verilog and it works fine.

Here are some snapshots of one such program with steps for conversion:
step 1: run the software


step 2: Click on the tab  " VHDL TO VERILOG"  and also specify the destination directory where you want to save your files

step 3: Click on the tab "translate" and check the results in verilog


Step 4 : verify results



Link for the coupons : Here


Click here to download Tool

Use password as : XHDL65@tech

Do write back to me if it was helpful
  

Please Note : Our course is now listed for Udemy training by Industry and leading companies use our courses  :
Analog Design- Intuitive Approach
Rc Circuits Analysis With LT Spice
Basics of Mosfet - Simplified View
Please use these links or share with someone who might be interested.
Note : Author discounts are already applied to these links. 


21 comments:

  1. Hi. Could you help me with the VHDL source code for a bidirectional bus.My email is CNSI@programmer.net. You can email it to me. Thanks.

    ReplyDelete
    Replies
    1. We will post it soon on our blog or will mail it to you once the code is done

      Delete
  2. This version of XHDL does not run in Win 8. Kindly help

    ReplyDelete
  3. How can we import the translated file to Xilinx? This is a demo version hence cant save the file in notepad or anyother format.

    ReplyDelete
  4. plz help me i need a code for 16 bit vedic multiplier to be implemented on xilinx.. plz plz plz rreply

    ReplyDelete
    Replies
    1. http://verilog-code.blogspot.com/2018/08/books-to-buy-for-beginners-verilogvhdl.html

      Delete
  5. LICENSE IS NOT WORKING

    ReplyDelete
  6. sir
    when Iam trying to convert my verilog code i got the following syntax error"input unsigned[31:0] returned token is 310" can you please help me to correct this problem

    ReplyDelete
  7. http://verilog-code.blogspot.com/2018/08/books-to-buy-for-beginners-verilogvhdl.html

    ReplyDelete
  8. Hi the license is not working. Can you send me a valid one?

    ReplyDelete
  9. Sir
    I could not able to convert vhdl code to verilog for crc encoder and decoder. Its showing syntax error in line1 returned token 365.
    But actually there is no error in code. Sir please help me to solve this .

    ReplyDelete
  10. Hi,
    How do we convert code that instantiates other modules?
    I haven't been able to add multiple modules.

    ReplyDelete
  11. How to convert verilog to vhdl?

    ReplyDelete
  12. Hi,
    Can I get code for RSA algorithm in verilog?

    ReplyDelete
  13. not able to save file or copy code

    ReplyDelete
  14. Hii..
    I try to convert verilog to vhdl code of pattern detector..I got syntax error in conversion. but my verilog code got executed..please help me

    ReplyDelete
  15. Please help!!!!!!!

    ReplyDelete