Verilog Projects




Link for the coupons : Here





VERILOG VDHL PROGRAMS
NEW PROJECTS ADDED: HAMMING CODE,SEQUENCE DETECOTOR,FIFO


For Any Other Projects Of your Interest you can mail us at : verilogblog@gmail.com

Hie friends, here are few programs i want to make open source for u guys. These programs are based on hdl and i have used verilog to code the design,


  1. My first program on D flip flop 
  2. REGISTER 
  3. Traffic light controller using task
  4.  32 bit booth multiplier 
  5. 32 bit carry look ahead adder 
  6. DSP Butterfly unit 
  7. HALF ADDER /FULL ADDER
  8. JK FLIP FLOP
  9. VEDIC MULTIPLIER (2BIT)
  10. 2:4 decoder 
  11. 8:3 encoder with priority
  12. 8 TO 1 MULTIPLEXER
  13. 4-BIT BINARY TO GRAY COUNTER CONVERTER .  
  14. DE-MULTIPLEXER ( 1 TO 4).   
  15. SR- flip flop.
  16. BINARY 4 bit COUNTER(UP/DOWN)
  17. Linear feed back shift register (8 bit)
  18. Grey counter 
  19. UART (universal asynchronous receive transmit )
  20. Arbiter implemented with 4 requests 
  21. Memory design -ram/rom
  22. Fibonacci number generator 
  23. Fifo (first in first out memory design)
  24. RS232 transmitter
  25. RS232 receiver 
  26. Bcd to binary conversion
  27. CRC-serial /parallel implementation 
  28. Binary to Bcd conversion
  29. Hamming code(h,k) encoder /decoder
  30. Sequence detector using FSM flow (with output and RTL)

Books for Vlsi-Verilog




229 comments:

  1. Nice blog. thanks a lot for your project list . :)

    ReplyDelete
    Replies
    1. sir we need code for booth multiplier using ripple carry adder in verilog..my mail id is chandana10es007@gmail.com

      Delete
    2. Sir we need code for Redundant Binary multiplier by using dual logic level multiplier in verilog.My mail id is passavulajayanthi@gmail.com

      Delete
    3. sir i need code for DESIGN AND ANALYSIS OF 16 BIT RISC PROCESSOR USING LOW POWER PIPEPINING in verilog.
      my mail id is jyothi2819.jo@gmail.com
      sir please me

      Delete
    4. sir i need code for '32-bit unsigned multiplier using csla and claa code' in verilog/vhdl
      my mail id is
      kumarsaifinal@gmail.com

      sir plz provide me

      Delete
  2. I am working on a project which is to build a FFT processor. I found the DSP butterfly code on your blog which worked well and giving the correct results. Will you please help me with the FFT processor code. If possible can i get the code for it?

    ReplyDelete
    Replies
    1. provide me with your mail id so that i cant send you the design aspects of FFT DIT processor.

      Delete
    2. sir I'm working on 2k point fft processor with pipelined architecture this is my final year project but I need help. would you please provide me with fft processor code that you have it will help me in my work.
      my mail address is
      faranzafar@gmail.com

      Delete
  3. I have doubts on floating point mac plz provide ur mail id to charantej.peteti@gmail.com

    ReplyDelete
    Replies
    1. can any 1 solve this in verilog?
      circuit to generate a sequential index for an input number for a pre defined list of numbers???

      Delete
  4. i want verilog code for 4-bit bcd to hamming code.plz reply as early as possible bcoz it is a project to me.plz give response to me

    ReplyDelete
  5. Hello, hamming code is already available on this site, you can use the same. its works fine for a 4 bit bcd. if you are looking for a 8 bit bit you have to just use the same code 2 times and in each . do the following changes
    1> take input as 8 bit(u can also go for higher bits but must be multiple of 4 for BCD)
    2>create two o/p one for LSB(4bits) as i/p and other for MSB(4bits)
    I hope you can do this,

    ReplyDelete
    Replies
    1. Sir we need code for 32 bit redundant binary multiplier using dual logic level multiplier. My mail id is passavulajayanthi@gmail.com

      Delete
  6. sir i got the csa program from this website and i need the testbench coding for that program...thank you,you are doing a great job i really appreciate it....all the best

    ReplyDelete
    Replies
    1. its quite easy to writhe TB for this, here is a sample
      module test_csa;

      // Inputs
      reg [3:0] a;
      reg [3:0] b;
      reg cin;

      // Outputs
      wire [3:0] sum;
      wire co;

      // Instantiate the Unit Under Test (UUT)
      carry_select uut (
      .a(a),
      .b(b),
      .cin(cin),
      .sum(sum),
      .co(co)
      );

      initial begin
      // Initialize Inputs
      a = 0;
      b = 0;
      cin = 0;
      #100;

      a = 4'd5;
      b = 4'd10;
      cin = 0;
      #100;

      a = 4'd5;
      b = 4'd10;
      cin = 1;
      #100;


      a = 4'd15;
      b = 4'd10;
      cin = 0;
      #100;


      a = 4'd15;
      b = 4'd11;
      cin = 1;
      #100;



      // Add stimulus here

      end

      endmodule

      Delete
  7. i am working on project "low power and area efficient carry select adder"let me know how to write the code for it my mail id is:dnagurmeera@gmail.com

    ReplyDelete
    Replies
    1. HEllo . as this one is a frequently requested project . we will soon upload the papers and related codes for low power CSA soon, keep reading , thank you

      Delete
    2. is the code for this project available now
      [venkatesh.nayakoti111@gmail.com]

      Delete
    3. Please send me the vhdl code for low power area efficient carry select adder

      Delete
  8. sir i am working on project "noval high speed vedic mathematics multipliers using compressor" let me know how to write the code for this, plz suggestion to me sir ,my mail id is " obulesh436@gmail.com"

    ReplyDelete
    Replies
    1. hie, there is a post on our blog about high speed vedic multiplier , you can use that for your project, If you need any thing in particular please send us the paper you are working on to sgatesrobo@gmail.com .
      thank you

      Delete
    2. Please send me the vhdl code for low power area efficient carry select adder to the mail id:sherin16189112@gmail.com

      Delete
  9. hi sir my project is to implement 256 point fft used for medical application ! Give me some suggestions my mail id harishkumar.ece011@gmail.com

    ReplyDelete
    Replies
    1. hello harish , i do have a code for 16 point FFT , i hope that might help you to further develop it toa 256 point , will mail it to soon

      Delete
  10. my major project is about Desgin and verification of 8 bit hamming encoder and decoder using verilog. i need verilog code
    my mail ID:vijay341,thakur@gmail.com

    ReplyDelete
    Replies
    1. Hie the code for hamming encoder & decoder is already present on the blog you can use them

      Delete
  11. Hi, i need a verilog code for LZO Algorithm....

    ReplyDelete
  12. my projest is an fpga based leinear all digital phased lock loop,i need vhdl or verilog code for,hillbert transform for analytic signal generation and for cordic algorithm,can u plz help me.my email id is vicky6085@gmail.com

    ReplyDelete
    Replies
    1. Please send related papers to sgates@gmail.com . we will get back to you

      Delete
    2. hello sir,i m sending u the related paers and pre available thesis...plz help me

      Delete
  13. hi I want verilog program for converting binary input to BI-phase mark digital output....kindly reply me soon

    ReplyDelete
  14. I want this above mentioned program soon

    ReplyDelete
  15. Hi sir,
    I need verilog code for 2-D Hamming Product Code, if you have the verilog code please e-mail it to srinivas.trml@gmail.com

    Thank you in advance!!

    ReplyDelete
    Replies
    1. Hamming encoding in present in this page itself . You can use it

      Delete
    2. i want this code too

      Delete
  16. Hi sir , my project is to implement floating point arithmetic unit , please mail me verilog code to jillelasushma@gmail.com. please.....

    ReplyDelete
  17. Hi sir I need a verilog code on MAC unit . . Could you please help me ? ? ?send me any details if you know . . . . sraja5453@gmail.com

    ReplyDelete
    Replies
    1. http://verilog-code.blogspot.in/2014/01/design-and-implementation-of-16-bit.html
      Just write the code for accumulator and use this multiplier

      Delete
  18. Sir my project is to design a low power IIR filter.I am using the cascade structure in which each 2nd order section is a transposed direct form 2 structure.I am using a vedic multiplier and ripple carry adder due to their less power consumption.Now I am not getting any idea to write the verilog code for a single 2nd order section.I have to call the multiplier and adder in my code.Can u please help me out by providing the code?

    ReplyDelete
  19. hi sir... i need a code for 64 bit sram

    ReplyDelete
    Replies
    1. Do you mean synchronous ram or static ram. I have given a brief model for memory design in this post:
      http://verilog-code.blogspot.in/2014/01/design-and-implementation-of-16-bit.html
      You can also make use of IP core if you want to treat this module as black box

      Delete
    2. I mean Static RAM, and controller for static ram.

      Delete
    3. You can do tat with FSM design. And details are available on net. we will try to publish a post on that.

      Delete
  20. I am working on a project called" Modulo 2^n+1 adder using verilog".Can u please help me with the code?

    ReplyDelete
    Replies
    1. We will post this one on our blog soon

      Delete
    2. Please When Will u be post it...i am waiting since long time..

      Delete
  21. I am working on a project named Reliable and cost effective anticollision technique for rfid UHF tag ..can u help me with the code?

    ReplyDelete
    Replies
    1. I don't have this design with me as of now. If there is any design detail of the mentioned project mail it to sgatesrobo@gmail.com. Will try to get back to you once i have the design details , Thank you

      Delete
  22. hai sir,i want verilog code for,point addition,point doubling, scalar multiplier ecc.

    ReplyDelete
    Replies
    1. PLease mail any related papers on this topic to sgatesrobo@gmail.com.

      Delete
    2. i too need this code sir, my mail id is kssachin1993@gmail.com

      Delete
  23. hey!!!
    i am doing a project on ADVANCED ENCRYPTION STANDARD...
    search a lot of websites but could not find verilog code
    can u help me please????

    ReplyDelete
    Replies
    1. Hello, AES algorithm is a complete digital design. GO through the theory of AES available in book " Data communication and networking by B Forouzan" . I will try to post a design on ccna soon.

      Delete
  24. Sir my project is to design a low power IIR filter.I am using the cascade structure in which each 2nd order section is a transposed direct form 2 structure.I am using a vedic multiplier and ripple carry adder due to their less power consumption.Now I am not getting any idea to write the verilog code for a single 2nd order section.I have to call the multiplier and adder in my code.Can u please help me out by providing the code?
    Sir I need it urgently!

    ReplyDelete
  25. Sir my project is A High Speed Parallel Counter Architecture and its Implementation in Programmable Square Finder cum Frequency Divider Circuit.Please help me with code.

    ReplyDelete
    Replies
    1. This can be done easily with FSM design. Refer to "Digital Logic With Veriog Design" by Stephen Brown for FSM based design

      Delete
  26. i need verilog codes for integer transform. kindly send as soon as possible...

    ReplyDelete
    Replies
    1. I am sorry but we don't have the code for this one as of now

      Delete
  27. my project is on USB control device using FPGA ....... kindly post a reply soon ............

    ReplyDelete
    Replies
    1. Hello, If you are looking for a complete USB controller using verilog then you have to start it from the USB data sheet and start your design. You will find many examples online to help you time. Its a little complex if you are new to verilog coding but with some basics about verilog and USB you can do it. Here is one such tutorial for USB with demo codes :

      http://scholarworks.csun.edu/bitstream/handle/10211.2/1060/USB_3_Final.pdf?sequence=1

      Delete
    2. thank you very much..............

      Delete
  28. Hello Admin,
    This blog has helped me a lot,i appreciate the effort you have put in helping others.
    I have been working on vedic multiplication,found the code for 16bit multiplier on your blog,thank you again.
    I'm having problem in implementing a code for array multiplication(4bit,8bit,16bit) which i need for comparing
    the speed of operation between the two multipliers. Kindly help me out.

    ReplyDelete
    Replies
    1. Hello, We are glad that our code on vedic multiplier has helped you. I suggest you to compare your results with booth multiplier and the code for which is available in text book " HDL programming fundamentals" by Botros

      Delete
    2. Glad to hear from you so soon,i will definitely use the code in text book.
      Can you also guide me on finding code for carry save array multipliers.
      Thank you.

      Delete
    3. Hie, you can find the design details of carry save adder details online . just replace the adders used in the array multiplier with the CSA. And its my personal advice to focus on the design rather than the code , It will boost your coding skills too. All the best . :)

      Delete
  29. Hello,
    For my final year project I would like to work on FPGA implementation of an artificial neuron using Verilog. But I am not getting any verilog code related to this topic. So will u please guide me to find out the code?
    Thanks..

    ReplyDelete
  30. Sir I need verilog code for 8 bit look ahead adder and single port ROM can u olz help me out on this...

    ReplyDelete
    Replies
    1. please send any relevant documents on this topic to sgatesrobo@gmail.com. i will get back to you

      Delete
  31. sir i need decode structure of gold sequence .its not in google kindly help me

    ReplyDelete
  32. Hi sir, I am working on project of uart using verilog, could you please send the code to my mail My mail ID:venky943@gmail.com

    ReplyDelete
  33. Hi sir I need a verilog code on digital clock with hour min sec alarm generation could you please send the code to my mail My mail id : khan.azra2317@gmail.com...itz very urgent i need it by tomorrow please help me..

    ReplyDelete
  34. Hello..
    Can I get the Verilog code for ticket vending machine? Please help me out..

    ReplyDelete
  35. This comment has been removed by the author.

    ReplyDelete
  36. Hello sir I need a verilog code on booth multiplier.I would be very thankful if you could send the code on my mail.
    my mail id:dipsikhag93@gmail.com

    ReplyDelete
    Replies
    1. booth multiplier code is available in all HDL books. please refer HDL book by botros for the code. Here is the link for 16bit booth multiplier

      http://verilog-code.blogspot.in/2014/05/verilog-code-for-booth-multiplier.html

      Delete
  37. Dear Sir
    First of all i would like to congratulate you on your success in maintaining this blog.I have gone through the content and using a lot many things for upgrading my knowledge in VLSI (verilog).
    The project you posted helped me in gaining a practical knowledge.

    Thanks a lot for sharing and keep continuing

    ReplyDelete
  38. Sir I need verilog code for Reed Solomon encoder. I would be very thankful if you could send the code on my mail.
    my mail: majidaziz415@gmail.com

    ReplyDelete
  39. Hello Sir, I am working on a project called I2C with BIST. Will you please help me with the code?

    ReplyDelete
  40. sir,please provide the information about pn code generation using generic lfsr and its verilog code.

    ReplyDelete
    Replies
    1. check my old posts you will find the code for LFSR

      Delete
  41. sir,am doing project on cordic based dct(discrete cosine transform) technique.please send me verilog code for FPGA implementation for the same.kindly reply as soon as possible.

    ReplyDelete
    Replies
    1. here is the simple program for DCT.
      http://verilog-code.blogspot.in/2013/09/discrete-cosine-transform-using-verilog.html

      Delete
  42. sir, can write code for I2C using BIST....plz reply sir

    ReplyDelete
  43. Can anyone provide me with a Verilog coding for Smart Card security to be implemented on an FPGA board! thanks in advance! :)

    ReplyDelete
  44. Sir immediately i need your help..can u plzz mail me on gettherocks@gmail.com..
    i ll be very grateful to you sir..
    Thank you

    ReplyDelete
  45. sir i am working on project "Multioperand Redundant Adders on FPGA" let me know how to write the code for this, plz suggestion to me sir ,my mail id is " vijimano92@gmail.com".pls sir i need ur help.

    ReplyDelete
    Replies
    1. hello , please mail me the details of the project and papers related to the project to verilogblog@gmail.com

      Delete
    2. ya i mailed my project sir.

      Delete
  46. hai please give me the verilog code for carry select adder by sharing the common Boolean logic

    ReplyDelete
  47. sir please help me to write the code for carry select adder. I already sent base paper to u

    ReplyDelete
  48. i am jaswanth right now i am doing M.TECH 2nd year, i saw ur blog related to verilog projects and my project is on USB 3.0 DATA COMMUNICATION USING VERILOG, i have a problem on generating verilog code for 8bit transmitter and reciever so can u help me by sending the verilog code for the project, please help me as soon as possible, my mail i.d- jaswanthvaranasi222@gmail.com
    thank you sir

    Highspeed USB 2.0/Superspeed USB 3.0 Transmitter and Receiver using FPGA with Verilog/VHDL

    ReplyDelete
  49. hello , i want to implement robotics controller using verilog HDL on a line follower robot but i am not able to find it anywhere . i tried but with no substantial progress . Plz help me..

    ReplyDelete
  50. hai sir I am padmaja. I am doing m.tech 2nd year, I am doing my project on vlsi. my project title is low power and area efficient carry select adder by using common Boolean logic. would you help me by sending the Verilog code to me as soon as possible. it's urgent. I already sent my base paper to you in the last month. please help me. thank you sir

    ReplyDelete
  51. please let me know how to design fsm for 3 way traffic light controller perform the following operation
    1. it will be in default state RED
    2. it will be in red state for 20 seconds
    3. it will be in yellow state for 50seconds
    4. It will be in green state for 90 seconds

    ReplyDelete
  52. Its a great blog.It really helped me in learning verilog.Thanks to this blog..Could you plz send me the code for FFT DIT .I have already got the butterfly code on your blog.This is my mail-id laisram.amol@gmail.com

    ReplyDelete
  53. This blog is very much usefull for all types of learners. ICurrently I am working on Radix 2 aquare single path delay feedback
    architecture. I wrote one code but as per my level.please provise the code for it. I will be very thank full to "YOU".
    naik.maniteja@gmail.com(my mail ID)

    ReplyDelete
  54. Hello,
    I would first sincerely thank the people responsible for maintaining this blog. it helped me so much to get introduced to VLSI designing through verilog. My project is "Memory based implementation of FIR digital filters using LUT". Can I get the code please
    My mail ID is giligails@gmail.com

    ReplyDelete
  55. i need verilog or vhdl code for prewitt, robert, sobel, canny edge detection.
    dhinakaran2612@gmail.com

    ReplyDelete
  56. I need verilog code for 32 bit vedic multiplier.can anyone help me

    ReplyDelete
  57. I need pipelined radix2^k 64 point using feedforward arichitecture coding for verilog HDL...in butterfly diagram ......pls sir i need coding

    ReplyDelete
  58. Hello sir, I am working on 16X16 MAC. Can you give me verilog code for designing this 16x16 MAC UNIT.
    Please mail me on aitm.ec.sandesh@gmail.com

    ReplyDelete
  59. i m not able to build 16 byte fifo memory with word size 8 bits pls help

    ReplyDelete
  60. i am in need of verilog code for BPSK demodulator.. can anyone help me

    ReplyDelete
  61. hiiiii
    i need the verilog code for automatic license plate recognition system.can anyone help me.

    ReplyDelete
  62. Hai..
    i need the code for reed solomon encoder.
    please mail me on ranjithkumar444@gmail.com

    ReplyDelete
  63. Sir please share the verilog code for SPI IMPLEMENTATION ON FPGA.

    ReplyDelete
  64. Hi
    I need code for 8-point FFT using vedic multiplier(urdhva tiryakbhyam sutra). I have written multiplier code but I'm not able to write FFT code. Please mail me on sumanashastry1993@gmail.com at the earliest.

    ReplyDelete
  65. gud evng sir.... iam working on a project" sparse-4 modulo 2^16+1 adder". i have written the code. but it is not working...pls help us..........

    ReplyDelete
  66. Hello i need verilog code for 6-bit carry save adder.. i know that default adder used in verilog is carry save but it consumes both LUTs as well as DSP modules. i need carry save adder to consume only LUTS

    ReplyDelete
  67. Please also send me structure of 6 bit Carry save adder

    ReplyDelete
  68. Sir, I am doing project on "low power and area efficient 16 bit sqrt carry select look ahead adder using binary to excess 1 converter". Please send me verilog codes regarding this project if you have any.
    my mail id: bhukyagangaram@gmail.com

    ReplyDelete
  69. Hi sir , my project is to implement first in first out FIFO , please mail me verilog code and test bench to adityaies2012@gmail.com please.....

    ReplyDelete
  70. Hello,I am implementing project on fir filter using carry save multiplier .I am having problem with the code which i am having with the multiplier.could u please help me and provide a verilog code for fir filter using carry save multiplier.

    ReplyDelete
    Replies
    1. do mail me the code with the details of the problem faced

      Delete
  71. Please post a verilog code on SPI..

    ReplyDelete
  72. Hi
    Can you help me to write the Verilog code for FIR filter design using multiplier designed by me(i.e., lower module)

    ReplyDelete
  73. help me to do a verilog code for a serial odd-even parity bit generator. (if you
    don’t know how to do this, you can do the parallel input parity bit generator) The ff ports are
    present:

     clk
     res
     SELector (when SEL =1, odd parity is used, when SEL =0, even parity is
    used)
     serial input- (there shall be 8 bit data to be serially fed to the circuit),
     serial output

    It is assumed that after reset, the 8 bit data will enter serially at the serial input one by one for
    every clock pulse. At the same time, for every clock pulse, the data bits will exit one by one at
    the serial output. After the 8th
    bit has come out, the next bit to appear in the serial output is the
    parity bit.

    ReplyDelete
  74. Dear all..from where can I get Research Projects on VLSI FPGA design using Verilog

    ReplyDelete
  75. Dear all.. I am doing cryptogrphy bsed project.I am using clefia algorithm .in my reference paper fault detection also done by using parity based error detection technique .i want to do correction in s box also in clefia. plz help me which technique is better and how it will be done in that

    ReplyDelete
  76. are there any other method of writing the instantiation part in the test bench?

    ReplyDelete
  77. hai i want an erilog hdl code for booth multiplier for signe and unsigned bits

    ReplyDelete
  78. Hi i required the verilog code for IMPLEMENTATION OF DCT &IDCT TECHNIQUE ON IMAGE COMPRESSION USING VHDL

    ReplyDelete
  79. hello, please send the verilog codes for following experiments to my mail id peddaraju567@gmail.com

    10) Design of 2-to-4 decoder
    11) Design of 8-to-3 encoder (without and with parity)
    12) Design of 8-to-1 multiplexer
    13) Design of 4 bit binary to gray converter
    14) Design of Multiplexer/ Demultiplexer, comparator
    15) Design of Full adder using 3 modeling styles
    16) Design of flip flops: SR, D, JK, T
    17) Design of 4-bit binary, BCD counters (synchronous/ asynchronous reset) or any sequence counter

    ReplyDelete
  80. please send the code for 8-bit carry save parallel multilplier on vy741995@gmail.com

    ReplyDelete
  81. please send the code for 8-bit carry save parallel multilplier on vy741995@gmail.com

    ReplyDelete
  82. sir can you please post verilog code for RESIDUE NUMBER SYSTEM based MULTIPLIER

    ReplyDelete
  83. sir,i need verilog codes for my project TRANSCEIVER USED BLOOM FILTER FOR THE PURPOSE OF ERROR DETECTION AND ERROR CORRECTION.sir please send the verilog codes to my mail id sir and my mail id is vt.rathana@gmail.com

    ReplyDelete
  84. Sir please tell me verilog code for designing error correcting circuit for 7 bit hamming code ????

    ReplyDelete
  85. hai sir, i am working on the bist could you please send me the bist verilog code.....???

    ReplyDelete
  86. Hi SIr.I want a code of Multi layer dual port memory in Verilog.Layers should be 8.please help Sir.I will be very greatful to you

    ReplyDelete
  87. hi sir i am doing the project "area delay and power efficient carry select adder".Would you please send me the codes for this project.

    ReplyDelete
  88. can any one send me data acquisition system code in verilog please send to my email id massrath32@gmail.com



    ReplyDelete
  89. Hi sir..., I want to know how to multiply two text files... If it's possible please send me the code.... Mail ID: ramprabu0388@gmail.com

    ReplyDelete
  90. I found the solution... can any one provide edge detection code in verilog...

    ReplyDelete
  91. I found the solution... can any one provide edge detection code in verilog...

    ReplyDelete
  92. i need verilog code for carry select adder using d-latch..plz post it

    ReplyDelete
  93. sir, i need verilog code for multiplier using adaptive hold logic

    ReplyDelete
  94. Hello i need verilog code for test data compression using hamming encoder for system on chip.. can u please provide

    ReplyDelete
  95. Hi sir I want code for 8:3 encoder using function and task

    ReplyDelete
  96. Hello sir I want verilog codes for priority encoder using function and task and to realize 3:6 decoder using 2:4 decoder

    ReplyDelete
  97. my project is configurable and less complexity hard decision viterbi decoder
    i need verilog code for viterbi decoder with conditional adapter and compare select method

    ReplyDelete
  98. i want codes for FIR LPF FILTER

    ReplyDelete
  99. I want verilog codes for prewitt, robert, sobel, canny edge detection.
    kyriakos_mantis@hotmail.com

    ReplyDelete
  100. Hello sir I want verilog code for 4 bit run length encoding data compression technique

    ReplyDelete
  101. mind blowing work..jordar..i learn so much thing..wonderfull...really good job...

    ReplyDelete
  102. Nice work buddy

    ReplyDelete
  103. Can you please verilog code to add a three term floating point adder in IEEE single precision format

    ReplyDelete
  104. This comment has been removed by the author.

    ReplyDelete
  105. I am currently working on a project on "UART Transmitter". I am having trouble finding the text fixture for the Verilog HDL. Can u help me with it, sir?

    ReplyDelete
  106. can i get prime number detector program and test bench as soon as possible
    its very urgent

    ReplyDelete
  107. Write Verilog Coding For Low-Power Programmable PRPG with Test Compression
    Capabilities

    ReplyDelete
  108. sir can help us find the coding for this our task,we looking for coding in verilog 8bit ALU that X as input and produce one 8bit result.Minimum 5 operation for both ALU and logic

    ReplyDelete
  109. sir can help us find the coding for this our task,we looking for coding in verilog 8bit ALU that X as input and produce one 8bit result.Minimum 5 operation for both ALU and logic

    ReplyDelete
  110. helo sir can u help us to find the verilog of design a 8 bit ALU that X as input and produce one 8 bit result.The ALU should perform the following fucntion minimum operation for both ALU and LOGIC

    ReplyDelete
  111. helo sir can u help us to find the verilog of design a 8 bit ALU that X as input and produce one 8 bit result.The ALU should perform the following fucntion minimum operation for both ALU and LOGIC

    ReplyDelete
  112. hello sir i need to RISC processor verilog code please send me. somnath7800@hotmail.com

    ReplyDelete
  113. sir plz suggest me some easy project to do in vlsi(verilog) using xilinx and its verilog code also to my email id(arpitasingh85574@gmail.com)

    ReplyDelete
  114. i want to design the microprocessor in verilog but i don't know where to start please friends help me.(devbhardwajdx@gmail.com).

    ReplyDelete
  115. SIR I NEED ANY 2 VHDL MINI PROJECTS WHICH ARE NOT IN UR BLOG I NEED IT VERRY URGENTLY CAN U MAIL IT TO harshavamsi123@gmail,com

    ReplyDelete
  116. SIR I NEED ANY 2 VHDL MINI PROJECTS WHICH ARE NOT IN UR BLOG I NEED IT VERRY URGENTLY CAN U MAIL IT TO harshavamsi123@gmail,com

    ReplyDelete
  117. hello can u help in making the vedic multiplier of 4*4,8*8,16*16 with testbench too

    ReplyDelete
  118. Sir I need verilog coding for 4 point fft with test bench can u please send it to my mail id please sir it will be helpful for me .. thank you
    mail id : anilreddy3494@gmail.com

    ReplyDelete
  119. Hello sir my project is to implement 512 point Fast Fourier Transform. I am using it for medical application ! Please give me some suggestions my mail id is maqeelaslam@gmail.com

    ReplyDelete
  120. Sir i got the codes for hamming encoder and decoder from this website. Sir could u plz tell me the testbench.

    ReplyDelete
  121. hey codes are working however i get confused with the diagramatic xplanation.
    Can you send me the black box of the block diagram at shashisuman17@aol.com
    mine wasnt working lol

    ReplyDelete
  122. Sir I want verilog code for multiple constant multiplication for cannonic signed representation (csd).

    ReplyDelete
  123. Sir I want verilog code for multiple constant multiplication for cannonic signed representation (csd).

    ReplyDelete
  124. hi sir i want verilog code for testing of sequential circuits using scan cells

    ReplyDelete
  125. Sir i want verilog code for double precision floating point representation. Plzz sir its urgent.

    ReplyDelete
  126. Sir I want verilog code for testing of sequential circuits using scan cells. Plzz sir its urgent. Plz can u send the code to kramini94@gmail.com

    ReplyDelete
    Replies
    1. can u please send me detail of your project pankajbishtece@gmail.com

      Delete
  127. i want verilog code for image processing using approximate adders.

    ReplyDelete
  128. Excuse me sir but I hope you can help me. I have a university project and I need to know how to build a led RGB 4x4x4 cube. I do not have the slightest idea how to start it, if you help me with this, I will be indebted to you. Thank you very much for your time. This is my mail in case you decide to help me fertrojas@gmail.com

    ReplyDelete
  129. sir, i need verilog code for fir fliter using modified booth multiplier and brent kung adder .This is my mail in case you decide to help me gokul1148@gmail.com

    ReplyDelete
  130. sir,my project is fault tolerant parallel filter based on error correction code. i need verilog code for this please send the code to nivethasmart17@gmail.com pls sir its urgent

    ReplyDelete
  131. sir,i am doing a project on 32 bit RAM can u pls help us by sending related papers and code

    ReplyDelete
  132. sir i am working on the project on vedic divider so will u please send me some verilog code on vedic divider email id - sauravkumar809@gmail.com

    ReplyDelete
  133. This comment has been removed by the author.

    ReplyDelete
  134. send me verilog code for deblocking filter on pratikmote@gmail.com

    ReplyDelete
  135. Hello sir,
    I am Pratik Mote from VIT University,vellore, India. I am pursuing my masters in VLSI domain and i am doing my project on H.264 deblocking filte.I need help of your verilog code for the algorithm to implement for part of my project. So if possible can you please send me verilog code for deblocking filter algorithm which was you used for your project. It will be very usefull for my project work. Thank you.

    ReplyDelete
  136. Sir,
    I need verilog code for Fpga based license recognition system.Please send the code to pg9.sies@gmail.com
    Thank You

    ReplyDelete
  137. sir,
    can u send the code for 8 bit and 12 bit adder

    ReplyDelete
  138. Can you send me a code for low power area efficient carry select adder please sir

    ReplyDelete
  139. very useful blog for all verilog enthusiasts

    ReplyDelete
  140. Hello sir.. Can you please send me verilog code for DMA controller

    ReplyDelete
  141. good morning sir, Im in need of new project list which can be helpful to do my next semester project.Please do send me the list And also I've mailed you seeking the same. Please do send me.

    ReplyDelete
  142. Sir I need a verilog code for licence plate recognization can u provide this code. Please provide this code.

    ReplyDelete
  143. sir I need Verilog coding for 16 tap FIR filter urgently with testbench.

    ReplyDelete
  144. P.V.V.Raja Sekhar17 January 2018 at 10:12

    hello sir..i am working on a project named "A high performance FIR filter architecture for fixed and reconfigurable applications"..if possible can you please help me with the verilog code for that project..

    ReplyDelete
  145. Sir,I want to do a project on gsm based accident sensing system can i get a code in verilog or vhdl

    ReplyDelete
  146. Where can I get verilog code for DMA controller, please help me out .

    ReplyDelete
  147. Sir, I want to do project on digital clock, can I get a code in verilog.

    ReplyDelete
  148. Sir can I get gammatone filter verilog code

    ReplyDelete
  149. Hello Sir!
    I wanted a really basic code for a 4 point DCT.
    It would be great if you could help me out with that.

    ReplyDelete